heron_sqrt/verilog/heron_top_tb.v

5 lines
37 B
Verilog

module heron_top_tb ( );
endmodule